Go to the documentation of this file.
7 use ieee.std_logic_1164.
all;
8 use ieee.std_logic_unsigned.
all;
9 use ieee.numeric_std.
all;
1101 end architecture AM1;
out s17_readdatavalidstd_logic
out m12_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
BURSTCOUNT_WIDTHinteger := 1
in s6_debugaccessstd_logic
in s29_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s21_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m22_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m29_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s27_debugaccessstd_logic
in s12_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s21_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m31_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m13_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s29_waitrequeststd_logic
out s11_readdatavalidstd_logic
out m19_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s1_readdatavalidstd_logic
in s16_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m5_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m19_waitrequeststd_logic
out m23_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m17_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m3_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m9_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s12_debugaccessstd_logic
in s19_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m19_readdatavalidstd_logic
in s22_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s4_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s6_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m2_debugaccessstd_logic
in s29_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s27_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m24_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m18_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s15_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s6_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m32_waitrequeststd_logic
out m21_debugaccessstd_logic
out m27_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m7_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s8_readdatavalidstd_logic
in s3_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s8_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s16_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m2_readdatavalidstd_logic
in m17_waitrequeststd_logic
out m14_debugaccessstd_logic
out s13_waitrequeststd_logic
in s27_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s7_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s30_readdatavalidstd_logic
out s21_waitrequeststd_logic
out m22_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s12_readdatavalidstd_logic
in m23_waitrequeststd_logic
out m22_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m4_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s20_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s26_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s17_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s24_debugaccessstd_logic
out m28_debugaccessstd_logic
in m31_readdatavalidstd_logic
in s26_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m9_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s31_waitrequeststd_logic
out m32_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m14_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m31_waitrequeststd_logic
in s25_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s23_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s3_debugaccessstd_logic
in m12_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s31_debugaccessstd_logic
out m23_debugaccessstd_logic
out m1_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s11_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m13_waitrequeststd_logic
out m11_debugaccessstd_logic
out m15_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s4_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m22_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s13_readdatavalidstd_logic
in m24_waitrequeststd_logic
out m8_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m19_debugaccessstd_logic
in s1_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m29_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s26_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s26_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s22_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m5_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m25_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s23_waitrequeststd_logic
out s22_readdatavalidstd_logic
in s30_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m32_readdatavalidstd_logic
in s5_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m9_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s32_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m1_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s9_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s21_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s5_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m23_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m7_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m8_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s15_debugaccessstd_logic
out m17_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m14_waitrequeststd_logic
out s3_waitrequeststd_logic
in m11_waitrequeststd_logic
out s4_readdatavalidstd_logic
out m15_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s29_readdatavalidstd_logic
in s16_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m9_readdatavalidstd_logic
out s1_waitrequeststd_logic
in m27_readdatavalidstd_logic
in m13_readdatavalidstd_logic
in m6_readdatavalidstd_logic
in s8_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m26_debugaccessstd_logic
in m30_readdatavalidstd_logic
in s2_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s3_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s15_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m1_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s27_readdatavalidstd_logic
in s13_debugaccessstd_logic
in s4_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m2_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s7_readdatavalidstd_logic
out m12_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m26_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m2_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s31_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m1_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s20_debugaccessstd_logic
out s25_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s30_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s27_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m30_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m14_readdatavalidstd_logic
in s5_debugaccessstd_logic
in s1_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m19_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
ADDRESS_WIDTHinteger := 32
out s15_readdatavalidstd_logic
out s20_waitrequeststd_logic
out m32_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m20_waitrequeststd_logic
in s10_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m10_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m25_debugaccessstd_logic
in s16_debugaccessstd_logic
out m24_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m18_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m5_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s19_waitrequeststd_logic
in m6_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m31_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s28_waitrequeststd_logic
in m29_readdatavalidstd_logic
out s6_readdatavalidstd_logic
out s17_waitrequeststd_logic
out m10_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s6_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m15_debugaccessstd_logic
out m30_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s21_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m26_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s25_readdatavalidstd_logic
in s31_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s22_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m27_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m26_waitrequeststd_logic
in s2_debugaccessstd_logic
out s22_waitrequeststd_logic
out m18_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s26_debugaccessstd_logic
out s13_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m21_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m30_waitrequeststd_logic
in s8_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s8_debugaccessstd_logic
in m12_readdatavalidstd_logic
in m8_readdatavalidstd_logic
out m10_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s21_debugaccessstd_logic
in m2_waitrequeststd_logic
in m28_waitrequeststd_logic
in s23_debugaccessstd_logic
out m21_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m3_readdatavalidstd_logic
out m20_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m3_debugaccessstd_logic
out s2_waitrequeststd_logic
in s11_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m4_readdatavalidstd_logic
out m28_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m19_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s30_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m27_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m17_debugaccessstd_logic
out s21_readdatavalidstd_logic
out s19_readdatavalidstd_logic
out m20_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s14_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s18_readdatavalidstd_logic
out m32_debugaccessstd_logic
in m25_waitrequeststd_logic
out s23_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s16_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s29_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m18_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m3_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m28_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m4_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s20_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s22_debugaccessstd_logic
out m5_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s25_waitrequeststd_logic
in s15_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s15_waitrequeststd_logic
in s13_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s9_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m26_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s11_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s6_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s24_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m4_waitrequeststd_logic
in m28_readdatavalidstd_logic
in m17_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s30_debugaccessstd_logic
out m11_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s13_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s22_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s32_readdatavalidstd_logic
in m25_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s10_waitrequeststd_logic
out s9_waitrequeststd_logic
in m20_readdatavalidstd_logic
in m12_waitrequeststd_logic
in s10_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m28_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m21_readdatavalidstd_logic
out s32_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s24_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m19_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m4_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s20_readdatavalidstd_logic
in m13_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m17_readdatavalidstd_logic
out s15_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m18_readdatavalidstd_logic
in m21_waitrequeststd_logic
out m2_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s7_waitrequeststd_logic
out m29_debugaccessstd_logic
out s5_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s9_readdatavalidstd_logic
in m18_waitrequeststd_logic
out m13_debugaccessstd_logic
in s30_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s4_waitrequeststd_logic
in m32_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m3_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m16_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s24_waitrequeststd_logic
in s21_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s2_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s19_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s8_waitrequeststd_logic
out m25_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m12_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s10_debugaccessstd_logic
out m20_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m8_waitrequeststd_logic
in s28_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s19_debugaccessstd_logic
out s29_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s18_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s24_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m23_readdatavalidstd_logic
in m10_readdatavalidstd_logic
out m24_debugaccessstd_logic
in s4_debugaccessstd_logic
out s14_readdatavalidstd_logic
in s11_debugaccessstd_logic
in s17_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s3_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m16_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s1_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m1_readdatavalidstd_logic
in m22_waitrequeststd_logic
out s7_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s32_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s9_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m30_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m5_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m24_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m6_waitrequeststd_logic
out m18_debugaccessstd_logic
out m4_debugaccessstd_logic
out s12_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m6_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s2_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m6_debugaccessstd_logic
in s10_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s18_waitrequeststd_logic
in s29_debugaccessstd_logic
out m11_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m29_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s32_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s18_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m8_debugaccessstd_logic
in s29_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m32_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s27_waitrequeststd_logic
in s23_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s5_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m11_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s16_readdatavalidstd_logic
in m15_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m29_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m28_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m12_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m27_waitrequeststd_logic
in s25_debugaccessstd_logic
in s13_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m25_readdatavalidstd_logic
out m22_debugaccessstd_logic
out s16_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m9_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m31_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m7_waitrequeststd_logic
in s18_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s1_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s19_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s7_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s26_readdatavalidstd_logic
in m7_readdatavalidstd_logic
out m13_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s9_debugaccessstd_logic
out s8_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s31_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s32_debugaccessstd_logic
out m16_debugaccessstd_logic
in m30_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m8_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m11_readdatavalidstd_logic
out s11_waitrequeststd_logic
out s5_waitrequeststd_logic
in s7_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m1_waitrequeststd_logic
in s30_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m27_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m23_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s6_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m31_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m31_debugaccessstd_logic
out m26_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s17_debugaccessstd_logic
out m15_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m16_waitrequeststd_logic
in s12_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m24_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m13_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m14_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s24_readdatavalidstd_logic
out m1_debugaccessstd_logic
out s14_waitrequeststd_logic
out m15_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m24_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s14_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s10_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m27_debugaccessstd_logic
out m3_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m16_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m7_debugaccessstd_logic
out m6_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s18_debugaccessstd_logic
in m16_readdatavalidstd_logic
in s20_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m10_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s1_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m7_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m4_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s31_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s15_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s14_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m20_debugaccessstd_logic
out m10_debugaccessstd_logic
in s27_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m9_waitrequeststd_logic
in s7_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m9_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m14_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s30_waitrequeststd_logic
out m16_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m24_readdatavalidstd_logic
in s28_debugaccessstd_logic
out m16_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s24_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s14_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s9_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m5_debugaccessstd_logic
in s22_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m14_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m13_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s17_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s17_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m11_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m3_waitrequeststd_logic
out m32_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s31_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m10_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m21_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m31_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s2_readdatavalidstd_logic
out s32_waitrequeststd_logic
in s13_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m17_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s2_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m10_waitrequeststd_logic
in m29_waitrequeststd_logic
in s12_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out s6_waitrequeststd_logic
out m30_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s27_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m30_debugaccessstd_logic
in s3_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s11_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m5_readdatavalidstd_logic
in m22_readdatavalidstd_logic
in s28_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m26_readdatavalidstd_logic
out m26_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m12_debugaccessstd_logic
out s3_readdatavalidstd_logic
in s8_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m3_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m25_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m25_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s25_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s12_waitrequeststd_logic
out m1_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m8_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s26_waitrequeststd_logic
in s28_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s10_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m14_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m23_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s23_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out m11_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m20_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m7_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s4_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s18_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s28_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m7_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s28_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s2_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s28_readdatavalidstd_logic
out m2_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m2_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s14_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m20_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s25_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out s16_waitrequeststd_logic
out s4_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m8_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s17_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s5_readdatavalidstd_logic
out m21_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m9_debugaccessstd_logic
out m29_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
out m23_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s10_readdatavalidstd_logic
in s9_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in m19_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s25_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s19_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s3_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s24_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s12_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
out m6_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in m5_waitrequeststd_logic
out m17_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
out s26_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s31_readdatavalidstd_logic
in s18_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m28_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m27_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s14_debugaccessstd_logic
in s7_debugaccessstd_logic
in s20_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m4_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m21_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in s11_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)
in s32_addressstd_logic_vector( ADDRESS_WIDTH- 1 downto 0)
in s23_burstcountstd_logic_vector( BURSTCOUNT_WIDTH- 1 downto 0)
in m15_readdatavalidstd_logic
in s5_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in m15_waitrequeststd_logic
in s1_debugaccessstd_logic
out s20_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out s23_readdatavalidstd_logic
in m22_readdatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m6_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
in s19_writedatastd_logic_vector( DATA_WIDTH- 1 downto 0)
out m18_byteenablestd_logic_vector( BYTEEN_WIDTH- 1 downto 0)