Go to the documentation of this file.
2 USE IEEE.STD_LOGIC_1164.
ALL;
3 USE IEEE.NUMERIC_STD.
ALL;
66 fifo_proc :
process (
CLK)
68 if rising_edge(CLK) then
natural range 0 to FIFO_DEPTH- 1 Head
FIFO_DEPTHpositive := 256
natural range 0 to FIFO_DEPTH- 1 Next_Head
in DataInSTD_LOGIC_VECTOR( DATA_WIDTH- 1 downto 0)
std_logic_vector( 1 downto 0) fifo_control
natural range 0 to FIFO_DEPTH- 1 Next_Next_Head
out DataOutSTD_LOGIC_VECTOR( DATA_WIDTH- 1 downto 0)
natural range 0 to FIFO_DEPTH- 1 Tail
( 0 to FIFO_DEPTH- 1) STD_LOGIC_VECTOR( DATA_WIDTH- 1 downto 0) FIFO_Memory
natural range 0 to FIFO_DEPTH- 1 Next_Tail